summaryrefslogtreecommitdiff
path: root/gcc/testsuite/ada/acats/tests/c5/c54a42c.ada
blob: 79a397976e68821df496bbd181c90979079141ae (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
-- C54A42C.ADA

--                             Grant of Unlimited Rights
--
--     Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687,
--     F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained 
--     unlimited rights in the software and documentation contained herein.
--     Unlimited rights are defined in DFAR 252.227-7013(a)(19).  By making 
--     this public release, the Government intends to confer upon all 
--     recipients unlimited rights  equal to those held by the Government.  
--     These rights include rights to use, duplicate, release or disclose the 
--     released technical data and computer software in whole or in part, in 
--     any manner and for any purpose whatsoever, and to have or permit others 
--     to do so.
--
--                                    DISCLAIMER
--
--     ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR
--     DISCLOSED ARE AS IS.  THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED 
--     WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE
--     SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE 
--     OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A
--     PARTICULAR PURPOSE OF SAID MATERIAL.
--*
-- CHECK THAT A  CASE_STATEMENT  CORRECTLY HANDLES A SPARSE SET OF
--    POTENTIAL VALUES (OF TYPE INTEGER) IN A LARGE RANGE.

--    (OPTIMIZATION TEST)


-- RM 03/26/81


WITH REPORT;
PROCEDURE  C54A42C  IS

     USE  REPORT ;

BEGIN

     TEST( "C54A42C" , "TEST THAT A  CASE_STATEMENT HANDLES CORRECTLY" &
                       " A SPARSE SET OF POTENTIAL VALUES IN A LARGE"  &
                       " RANGE" );

     DECLARE

          NUMBER  : CONSTANT                           := 1001 ;
          LITEXPR : CONSTANT                           := NUMBER + 998 ;
          STATCON : CONSTANT INTEGER RANGE  1..INTEGER'LAST :=    1000 ;
          DYNVAR  :          INTEGER RANGE  1..INTEGER'LAST :=
                                           IDENT_INT( INTEGER'LAST-50 );
          DYNCON  : CONSTANT INTEGER RANGE  1..INTEGER'LAST :=
                                           IDENT_INT( 1000 );

     BEGIN

          CASE  INTEGER'( NUMBER )  IS
               WHEN  1 .. 10         =>  FAILED("WRONG ALTERNATIVE F1");
               WHEN  1000            =>  FAILED("WRONG ALTERNATIVE F2");
               WHEN  2000            =>  FAILED("WRONG ALTERNATIVE F3");
               WHEN  4000 .. 4100    =>  FAILED("WRONG ALTERNATIVE F4");
               WHEN  INTEGER'LAST-100 ..
                     INTEGER'LAST    =>  FAILED("WRONG ALTERNATIVE F5");
               WHEN  OTHERS          =>  NULL ;
          END CASE;

          CASE  IDENT_INT( 10 )  IS
               WHEN  1 .. 10         =>  NULL ;
               WHEN  1000            =>  FAILED("WRONG ALTERNATIVE G2");
               WHEN  2000            =>  FAILED("WRONG ALTERNATIVE G3");
               WHEN  4000 .. 4100    =>  FAILED("WRONG ALTERNATIVE G4");
               WHEN  INTEGER'LAST -100 ..
                     INTEGER'LAST    =>  FAILED("WRONG ALTERNATIVE G5");
               WHEN  OTHERS          =>  FAILED("WRONG ALTERNATIVE G6");
          END CASE;

          CASE  IDENT_INT(LITEXPR)  IS
               WHEN  1 .. 10         =>  FAILED("WRONG ALTERNATIVE H1");
               WHEN  1000            =>  FAILED("WRONG ALTERNATIVE H2");
               WHEN  2000            =>  FAILED("WRONG ALTERNATIVE H3");
               WHEN  4000 .. 4100    =>  FAILED("WRONG ALTERNATIVE H4");
               WHEN  INTEGER'LAST -100 ..
                     INTEGER'LAST    =>  FAILED("WRONG ALTERNATIVE H5");
               WHEN  OTHERS          =>  NULL ;
          END CASE;

          CASE  STATCON  IS
               WHEN  1 .. 10         =>  FAILED("WRONG ALTERNATIVE I1");
               WHEN  1000            =>  NULL ;
               WHEN  2000            =>  FAILED("WRONG ALTERNATIVE I3");
               WHEN  4000 .. 4100    =>  FAILED("WRONG ALTERNATIVE I4");
               WHEN  INTEGER'LAST -100 ..
                     INTEGER'LAST    =>  FAILED("WRONG ALTERNATIVE I5");
               WHEN  OTHERS          =>  FAILED("WRONG ALTERNATIVE I6");
          END CASE;

          CASE  DYNVAR   IS
               WHEN  1 .. 10         =>  FAILED("WRONG ALTERNATIVE J1");
               WHEN  1000            =>  FAILED("WRONG ALTERNATIVE J2");
               WHEN  2000            =>  FAILED("WRONG ALTERNATIVE J3");
               WHEN  4000 .. 4100    =>  FAILED("WRONG ALTERNATIVE J4");
               WHEN  INTEGER'LAST -100 ..
                     INTEGER'LAST    =>  NULL ;
               WHEN  OTHERS          =>  FAILED("WRONG ALTERNATIVE J6");
          END CASE;

          CASE  DYNCON  IS
               WHEN  1 .. 10         =>  FAILED("WRONG ALTERNATIVE K1");
               WHEN  1000            =>  NULL ;
               WHEN  2000            =>  FAILED("WRONG ALTERNATIVE K3");
               WHEN  4000 .. 4100    =>  FAILED("WRONG ALTERNATIVE K4");
               WHEN  INTEGER'LAST -100 ..
                     INTEGER'LAST    =>  FAILED("WRONG ALTERNATIVE K5");
               WHEN  OTHERS          =>  FAILED("WRONG ALTERNATIVE K6");
          END CASE;

     END ;


     RESULT ;


END  C54A42C ;