summaryrefslogtreecommitdiffhomepage
path: root/vars/gcc_stage1_host.vars
diff options
context:
space:
mode:
authorLucio Andrés Illanes Albornoz (arab, vxp) <lucio@lucioillanes.de>2017-12-03 16:10:05 +0000
committerLucio Andrés Illanes Albornoz (arab, vxp) <lucio@lucioillanes.de>2017-12-03 16:20:07 +0000
commitec19f02f81c206f54a89ef7e1f49715e90470c31 (patch)
treea4f6a307e70bad5c4a836a18cbf92b6b0afa0b83 /vars/gcc_stage1_host.vars
parent16c9cd223ae1809124a163d13e820f19e18e7edc (diff)
downloadmidipix_build-ec19f02f81c206f54a89ef7e1f49715e90470c31.tar.bz2
midipix_build-ec19f02f81c206f54a89ef7e1f49715e90470c31.tar.xz
Separate front end (build.sh, subr/build_*) from dispatcher.
Diffstat (limited to 'vars/gcc_stage1_host.vars')
l---------vars/gcc_stage1_host.vars1
1 files changed, 1 insertions, 0 deletions
diff --git a/vars/gcc_stage1_host.vars b/vars/gcc_stage1_host.vars
new file mode 120000
index 00000000..55c0ef10
--- /dev/null
+++ b/vars/gcc_stage1_host.vars
@@ -0,0 +1 @@
+gcc.vars \ No newline at end of file